pc6下载站:安全、高速、放心的专业下载站! pc6首页|软件分类pc6游戏网|pc6安卓网|pc6苹果网电脑版|精品模板|软件发布

所在位置:首页编程开发数据库类 → PowerDesigner v15.1中文版

PowerDesigner

 v15.1中文版
  • 软件大小:281.6M
  • 更新日期:2011/11/11
  • 软件语言:简体
  • 软件类别:数据库类
  • 软件授权:免费软件
  • 软件官网:
  • 适用平台:WinAll

软件评分

PC6本地下载文件大小:281.6M 高速下载需下载高速下载器,提速50%

    软件介绍精品推荐相关视频人气软件相关文章评论0下载地址

    为您推荐:数据库类数据库设计工具

    PowerDesigner15是Sybase公司推出的一个集成了企业架构分析、UML(统一建模语言)和数据建模的CASE(计算机辅助软件工程)工具。支持开发生命周期的所有阶段,从处理流程建模到对象和组件的生成。。

    相关软件软件大小版本说明下载地址

    PowerDesigner 15是Sybase公司推出的一个集成了企业架构分析、UML(统一建模语言)和数据建模的CASE(计算机辅助软件工程)工具。它不仅可以用于系统设计和开发的不同阶段(即业务分析、概念模型设计、逻辑模型设计、物理模型设计以及面向对象开发阶段),而且可以满足管理、系统设计、开发等相关人员的使用。它是业界第一个同时提供业务分析、数据库设计和应用开发的建模软件。
    PowerDesigner是一个功能强大而使用简单工具集,提供了一个复杂的交互环境,支持开发生命周期的所有阶段,从处理流程建模到对象和组件的生成。PowerDesigner产生的模型和应用可以不断地增长,适应并随着你的组织的变化而变化。
    它可与许多流行的数据库设计软件,例如:PowerBuilderDelphiVB等相配合使用来缩短开发时间和使系统设计更优化。

    PowerDesigner

    软件特色

      1、用于数据发现的“ProcessAnalyst”模块。

      2、用于双层,交互式的数据库设计和构造的“ataArchitect”模块。

      3、用于物理建模和应用对象及数据敏感组件的生成的“AppModeler”模块。

      4、用于高级的团队开发,信息的共享和模型的管理的“MetaWorks”模块。

      5、用于数据仓库的设计和实现的“WarehouseArchitect”模块。

      6、用于以只读的、图形化方式访问整个企业的模型信息的“Viewer”模块。

    常见问题

      1、PD的cdm中默认不允许存在重名的字段

      PowerDesigner考虑到主键外键名称可能冲突的问题,默认两个不同实体中不能存在相同名称的属性,但在实际设计的时候,为了便于理解,通常需要在两个实体中使用相同的属性名,如NG-CRM5.5中所有信息(INFO)表都存在4个字段:操作流水(LOGIN_ACCEPT)、操作工号(LOGIN_NO)、操作代码(OP_CODE)、操作时间(OP_TIME),由于这些字段在所有实体中的作用意义一样,所以最好使用同样的名称。为此需要更改PowerDesigner的相关设置,更改操作为:选择菜单栏的Tools->Model Options,打开Model Options窗口,取消选中的Unique code即可

      2、公共字段不需要再pdm中当做表生成

      将cdm中公共字段表base_item中Entity Properties-->General中的Generate默认勾选取消即可。

      3、修改外键命名规则

      选择Database—>Edit Current DBMS

      选择Scripts-》Objects-》Reference-》ConstName

      可以发现右侧的Value为:

      FK_%.U8:CHILD%_%.U9:REFR%_%.U8:PARENT%

      可见,该命名方法是:'FK_'+8位子表名+9位Reference名+8位父表名,你可以根据这中模式自定义为:

      FK_%.U7:CHILD%_RELATIONS_%.U7:PARENT%,

      可以使FK名称变为FK_TABLE_2_RELATIONS_TABLE_1

      掌握这种方法后就可以按照自己的想法修改了

      生成建库脚本sql文件中的表头注释很讨厌,可以在 Databse -> Generate Database (Ctrl+G)窗口中,选择Options卡片,去掉Usage的Title钩选项即可。

      4、添加外键

      Model -> References新建一条外键后,双击进入外键属性,在“Joins”卡片中可以选择子表的外键字段

      5、如何实现Name和code不自动相等

      如何实现在CDM中创建Entity时,Name和Code不自动对应

      设置tools--Gerneral options--->dialog---->name to code mirroring

      -------------------------------------

      6、如何把NAME 列在 scrip里显示出来

      修改字段生成规则。要给每个字段都添加一个注释的话,同一窗口中展开 Script -> Object -> Column -> Add 的 Value修改为:

      %20:COLUMN% [%COMPUTE%?AS (%COMPUTE%):%20:DATATYPE% [%IDENTITY%?%IDENTITY%:[%NULL%][%NOTNULL%]][ default %DEFAULT%]

      [[constraint %CONSTNAME%] check (%CONSTRAINT%)]]/*%COLNNAME%*/

      其中的%COLNNAME%就是列的Name值(可以是中文)

      ---------------------------------------------------------------------------------

      POWERdESIGEN 自增长列的设置

      PDM里查看表的属性,Columns选项卡,选中整列,查看列属性,点左上方的properties图标(有手形图案的那个),此时打开一个设置窗口,General选项卡里面进行设置.

      在列属性的General标签页里有个Identity复选框,勾上就行了

      --------------------------------------

      1 如何在PowerDesigner下建索引

      ①双击表设计图,出来Table Properties,在Tab 页中选择 Indexes

      ②单击新建索引的属性,出现Indexex Properties

      ③增加一个索引包含的字段

      2 如何在PowerDesigner 下建自增列

      ①使用SqlServer 数据库中的下列语句来完成

      建表语句中,在要做为自增列的字段中,加上如下

      IDENTITY(1,1)

      还有可以使用下面语句,重置自增种子

      dbcc checkident(ConfigSys,reseed,0);

      3 如何在PowerDesigner 下检查设计模型

      ①在菜单栏中选择 Tools -à Check Model, 如下图

      ②选择要检查的每项设置

      ③确定后,将出来检查结果汇总信息

    精品推荐数据库设计工具

    更多 (13个) >>数据库设计工具数据库设计工具有哪些比如的的都是非常出名的数据库设计工具,的普及度比较好,可以支持业务模型,逻辑模型和物理模型的建模,支持各种数据库厂商的各代产品,非常强大和丰富。不仅能满足我们的设计需求,还可以通过

    下载地址

    • PowerDesigner v15.1中文版

      本地高速下载

    • PC版

      PowerDesigner查看详情

      PowerDesigner v15.1中文版

    其他版本下载

    相关视频

      没有数据

    人气软件

    厂商其他下载

    电脑版安卓版IOS版Mac版

    查看所有评论>>网友评论0

    发表评论

    您的评论需要经过审核才能显示

    精彩评论

    最新评论

    热门关键词